何でブール代数がプログラムに使えるか教えろ
1 :デフォルトの名無しさん :2013/09/19(木) 19:21:58.64 .net 頼む
40 :デフォルトの名無しさん :2014/01/17(金) 20:13:56.87 .net オレが問題をだしてあげよう。 ((c|d)&(a==c)&(b==d))|(!(c|d)&((a==c)|(b==d))) を簡単にせよ。
41 :デフォルトの名無しさん :2014/01/20(月) 18:37:06.02 .net (a==c)&(b==d)
42 :デフォルトの名無しさん :2014/01/20(月) 19:44:08.58 .net 残念だが不正解でござる。
43 :デフォルトの名無しさん :2014/01/21(火) 01:45:33.80 .net (a==c)|(b==d)
44 :デフォルトの名無しさん :2014/01/21(火) 08:11:54.66 .net がんばったでしょー☆ 不正解でござる
45 :デフォルトの名無しさん :2014/01/21(火) 11:38:51.30 .net 正解が存在しないってだけだろ
46 :デフォルトの名無しさん :2014/01/21(火) 11:47:59.57 .net もう諦めたでござるかぁー。ハエは出直してまいれー。
47 :デフォルトの名無しさん :2014/01/21(火) 11:51:58.09 .net 何だ板に張り付いてるだけの屑だったかww どうせ答の出ない問題を出して煽りたいだけだろww
48 :デフォルトの名無しさん :2014/01/21(火) 11:58:22.05 .net >>47 は>>46 みたいなのは相手にしちゃ駄目 ただの池沼みたいだから
49 :デフォルトの名無しさん :2014/01/21(火) 12:07:36.76 .net この板のレベルは低いのう。こんな簡単な問題も解けないとは。実に低いでござる。
50 :デフォルトの名無しさん :2014/01/21(火) 12:09:46.77 .net そのくせ、ゴミとか池沼とか罵倒することだけは一丁前。地球人ってハエですね。
51 :デフォルトの名無しさん :2014/01/21(火) 12:14:51.84 .net 何揉めてんの?
52 :デフォルトの名無しさん :2014/01/21(火) 12:25:00.54 .net >>40 これの答って実際無いよね
53 :デフォルトの名無しさん :2014/01/21(火) 12:29:40.20 .net ハエがしつこいので、簡単な問題だと釘をさしておきますですはい。
54 :デフォルトの名無しさん :2014/01/21(火) 12:39:29.15 .net なんかうんなんだろう
55 :デフォルトの名無しさん :2014/01/21(火) 12:56:59.74 .net 時々プログラム板ってこういう頭のお菓子なのが湧くんだよな
56 :デフォルトの名無しさん :2014/01/21(火) 13:01:48.93 .net 糖質って大体こういうのだよな >>53 みたいにひたすらスレに張り付くんだよ
57 :デフォルトの名無しさん :2014/01/21(火) 13:44:49.85 .net このスレッドは天才チンパンジー「アイちゃん」が 言語訓練のために立てたものです。 アイと研究員とのやり取りに利用するスレッドなので、 関係者以外は書きこまないで下さい。 京都大学霊長類研究所
58 :デフォルトの名無しさん :2014/01/21(火) 14:49:24.11 .net おおハエよ。トチ狂ってギブアップしてしまうとは情けない、 そなたにもう一度チャンスを与えよう。では解くがよい。 駄々こねてしょうがないチョンくさいお子様に絶大なるヒントを与えよう。 まず右半分を変形。
59 :デフォルトの名無しさん :2014/01/21(火) 17:26:57.19 .net すいません 粘着してる糖質が沸いてるんでここに来た人は相手にせず 別のスレに行ってください
60 :デフォルトの名無しさん :2014/01/21(火) 22:56:27.20 .net こんな簡単な問題解ける人がたったの一人もいないでござるか? レベル低いでござるのー。きみら全員才能ないですよ。違う業界いくべきですね。
61 :デフォルトの名無しさん :2014/01/21(火) 23:18:50.80 .net (a==c)&(b==d)これと (a==c)|(b==d)これという安易な答えが不正解だからって 答えがないと決めつけて人格攻撃とか、知能以前に人間レベルを疑わないといけないですね。 あたくしを糖質だとバカにできないほどあなたがたはキチガイであることを自覚すべきです。
62 :デフォルトの名無しさん :2014/01/21(火) 23:23:39.05 .net あたくしは地球人でないことを自覚してますが、あなた方はアホである自覚がない。 つまりあたしで火星で、あなた方は真性だということです。
63 :デフォルトの名無しさん :2014/01/21(火) 23:46:24.79 .net あたくしごときアホに人工知能が与えられたのは、世界を敵にまわしても勝てという神様の思し召しなんでしょうか。 もともとそんなくだらない戦いは望んじゃいなかったんですがね。 こんなんで勝ってヒーローとかバカバカしいにもほどがあるのに。 なんであたくしが選ばれたんでしょうか?
64 :片山博文MZコスモ ◆T6xkBnTXz7B0 :2014/01/23(木) 14:52:35.48 .net (右半分の式):=(!(c|d)&((a==c)|(b==d)). 分配法則より (右半分の式)==(!(c|d) & (a==c))|(!(c|d) & (b==d)). となる。 (与式)==((c|d)&(a==c)&(b==d))|(右半分の式) ==((c|d) & (a==c) & (b==d)) | (!(c|d) & (a==c)) | (!(c|d) & (b==d)). さらに...(続く)
65 :片山博文MZコスモ ◆T6xkBnTXz7B0 :2014/01/23(木) 17:18:36.66 .net ...(続き) 分配法則より (与式)==((c|d) & (a==c) & (b==d) & !(c|d)) | ((c|d) & (a==c) & (b==d) & (a==c)) | (!(c|d) & (b==d)) ==((c|d) & (a==c) & (b==d) & !(c|d)) | ((c|d) & (a==c) & (b==d)) | (!(c|d) & (b==d)). ここで((c|d) & !(c|d))は偽であるから (与式)==((c|d) & (a==c) & (b==d)) | (!(c|d) & (b==d)). さらに...(続く)
66 :片山博文MZコスモ ◆T6xkBnTXz7B0 :2014/01/23(木) 18:08:35.28 .net >>65 は間違い。 (>>64 から続く)... 分配法則より (与式)==((a==c) & (((c|d) & (b==d)) | !(c|d))) | (!(c|d) & (b==d)) ==((a==c) & (((c|d) | !(c|d)) & ((b==d) | !(c|d)))) | (!(c|d) & (b==d)). ここで((c|d) | !(c|d))==0であるから (与式)==((a==c) & (0 & ((b==d) | !(c|d)))) | (!(c|d) & (b==d)) ==((a==c) & 0) | (!(c|d) & (b==d)) ==0 | (!(c|d) & (b==d)) ==!(c|d) & (b==d) ==!c & !d & (b==d) (計算終わり)
67 :片山博文MZコスモ ◆T6xkBnTXz7B0 :2014/01/23(木) 18:17:34.06 .net !c & !d & (b==d) でファイナルアンサー。
68 :デフォルトの名無しさん :2014/01/23(木) 21:36:55.00 .net >>65 よくわからない分配律なのに何故か間違ってないでござる。 そいつはさらに簡単になるでござる。 >>66 ここで間違ってるでござる。 (c|d) | !(c|d)==1 (c|d) & !(c|d)==0
69 :片山博文MZコスモ ◆T6xkBnTXz7B0 :2014/01/23(木) 21:51:47.46 .net あちゃーまた間違えてたか。 c==1かつd==2のとき、(c|d) | !(c|d)==3になる。 (c|d) | !(c|d)は非ゼロであることは確か。 ブール代数の元に限定するなら1で正しいが。
70 :片山博文MZコスモ ◆T6xkBnTXz7B0 :2014/01/23(木) 21:58:03.64 .net お題:関数呼び出しを含まないC言語のブール代数式を簡略化するプログラムを作れ。 できるか?
71 :デフォルトの名無しさん :2014/01/23(木) 22:58:31.12 .net メンドーなことはやりたくないでござる。 http://ja.wikipedia.org/wiki/ クワイン・マクラスキー法 を使えばいいでござる。
72 :片山博文MZ無能 ◆T6xkBnTXz7B0 :2014/01/24(金) 14:41:30.18 .net >>71 ありがとうございます。
73 :デフォルトの名無しさん :2014/01/28(火) 22:21:40.18 .net (a==c)|(b==d)
74 :デフォルトの名無しさん :2016/07/31(日) 16:05:15.36 ID:JTJLwIya.net 【閲覧注意】戦闘に巻き込まれて頭部を切断された少女の遺体。これがリアルなシリア。 http://dqnworld.com/archives/34.html これが本当の戦争の恐怖。この少女には大人の戦争は関係ないですからね。巻き込まれた少女の遺体を持って何か を訴えかけている男たちの映像です。 【閲覧注意】シリアで反体制派の兵士が顔を吹き飛ばされてしまう瞬間。 http://dqnworld.com/archives/89.html スローモーションが怖すぎる・・・。 【閲覧注意】アッラーフアクバルを叫びながら少年を斬首する映像を公開する。 http://dqnworld.com/archives/3975.html 点滴?のようなものが見えるんだけど。助けられた少年じゃなかったのか。助けられた所を強奪されてアッラーフ アクバル?なのかしら・・・。 【閲覧注意】磔にされた戦闘機パイロットの遺体。シリアにて。 http://dqnworld.com/archives/3996.html 今日のアッラーフアクバル動画。 【閲覧注意】この首吊り自殺、足スレスレだけど本当に死ねてる? http://dqnworld.com/archives/4001.html 中国で撮影された首吊り自殺の映像です。既に死んでいると書いてあるけど自分で揺らしているようにみない?www 妻の目の前でぶっ飛ばされた旦那さん?これは死んだかな(°_°) http://dqnworld.com/archives/4004.html さすがにこれだけ飛ばされたら助からないかな・・・。 【閲覧注意】あおむけでゲロを吐きまくっている男性。助けてやれよ・・・。窒息するぞ(@_@;) http://dqnworld.com/archives/4007.html これ結構危ないんじゃないの?撮影してないで横向きにしてやれよ。これ窒息する可能性あるだろ。
75 :デフォルトの名無しさん :2017/12/11(月) 20:49:36.10 ID:xh8Y81wo.net >>69 謙虚で好きだ すごい
76 :デフォルトの名無しさん :2018/05/23(水) 21:05:28.95 ID:Au5e7VGg.net 僕の知り合いの知り合いができたパソコン一台でお金持ちになれるやり方 役に立つかもしれません グーグルで検索するといいかも『ネットで稼ぐ方法 モニアレフヌノ』 F15DB
77 :デフォルトの名無しさん :2018/07/05(木) 00:50:34.15 ID:RfoszcD2.net AIQ
78 :デフォルトの名無しさん :2019/04/11(木) 17:56:39.13 ID:qUwSdBdJ.net 。
79 :さまよえる蟻人間 :2019/04/11(木) 20:41:30.94 ID:4WryY6sc.net 再帰的定義。再帰的計算。ハードウェアとソフトウェアの融合。計算科学の結晶。
80 :デフォルトの名無しさん :2019/04/11(木) 21:39:55.90 ID:VFi1NhTI.net >>32 天才チンパンジーにしてはちと天才すぎる
81 :デフォルトの名無しさん :2019/04/16(火) 20:51:13.86 ID:kcG0S8Ob.net >>40 論理合成してみた。 http://iup.2ch-library.com/i/i1983828-1555415382.jpg
82 :82 :2019/04/16(火) 21:55:25.40 ID:kcG0S8Ob.net ついでにこんなベンチを書いてシミュレーションしてみた。 library IEEE,WORK; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use WORK.FuncPrintf.all; entity Test0010_tb is end Test0010_tb; architecture testbench of Test0010_tb is component Test0010 port ( a,b,c,d : in boolean ; o : out boolean ); end component; signal a,b,c,d,o : boolean ; signal x : std_logic_vector(4 downto 0) ; begin u : Test0010 port map (a,b,c,d,o); a <= x(0) = '1'; b <= x(1) = '1'; c <= x(2) = '1'; d <= x(3) = '1'; x(4) <= '1' when o else '0'; process begin for i in 0 to 15 loop x(3 downto 0) <= conv_std_logic_vector(i,4); wait for 1 ns; printf("%02X\n",conv_integer(x)); end loop; assert FALSE report "End." severity FAILURE; end process; end testbench;
83 :82 :2019/04/16(火) 21:55:49.77 ID:kcG0S8Ob.net 結果は左から順に下記。 o <= ((c or d)and(a=c)and(b = d)) or ((not(c or d))and((a = c)or(b = d))); o <= (not c)and(not d)and(b=d); o <= (a=c)or(b=d); o <= (a=c)and(b=d); 結果。 # 10 10 10 10 # 11 11 11 01 # 12 02 12 02 # 03 03 03 03 # 04 04 14 04 # 15 05 15 15 # 06 06 06 06 # 07 07 17 07 # 08 08 18 08 # 09 09 09 09 # 1A 0A 1A 1A # 0B 0B 1B 0B # 0C 0C 0C 0C # 0D 0D 1D 0D # 0E 0E 1E 0E # 1F 0F 1F 1F
84 :デフォルトの名無しさん :2020/03/24(火) 13:29:39 ID:WT9cP8p5.net >>1 >>26
85 :デフォルトの名無しさん :2020/03/26(木) 22:22:51 ID:ljFDlwQq.net プロセスとスレッドの違いを教えて
86 :デフォルトの名無しさん :2020/03/27(金) 14:38:34 ID:9RtDMjhb.net 続きはしかるべきスレで
87 :おお :2021/03/23(火) 03:15:44.19 ID:sG5iaUU/0 「慶應卒の学歴なんていらない」10代起業で成功する子の共通点https://news.infoseek.co.jp/article/president_35457/ 学生起業家が開発、「人を軸に本を探すアプリ」とは?読書通じて「考える力」養ってhttps://newswitch.jp/p/20168 島田商高生がアプリ考案、発表 ICT起業家育成プログラムhttps://www.at-s.com/news/article/local/central/730010.html 医師コンビが「治療用アプリ」で起業、禁煙に続き高血圧治療アプリを開発https://diamond.jp/articles/-/229375 好きが高じて“カレー起業”、キャッシュレス決済アプリ「TOKYO MIX CURRY」の挑戦https://diamond.jp/articles/-/215868 【アプリ開発で起業】必要な心得とマネタイズ方法のすべてhttps://www.dreamgate.gr.jp/contents/column/application-development レシート買取アプリ「ONE」開発の19歳起業家、“買い物するだけでお金がもらえる”新サービス公開https://signal.diamond.jp/articles/-/583
88 :デフォルトの名無しさん :2024/02/14(水) 09:37:09.53 ID:XEbUZA5pT 摂津市の1502万円回収断念とかこの方法なら取れると考えたクソ公務員による共謀詐取だろ 振込まれたほうは何の落ち度もないんだからクソ公務員が全額弁済するのが筋,返して欲しければ弁護士だの法的手続きだの税金で 費用かけてクソ公務員に給料という名目て゛追い銭までくれてやって返還請求作業するのではなくクソ公務員が勝手に自腹でどうにかしろや 個人情報漏洩の代名詞マヰナンバ‐の入力とか送金とか手作業でやるという發想が何ひとつ価値生産て゛きない無能害虫丸出し 100個程度のデ―夕作成すらプ口グラム作るのが健常者だろうに作っては壊しの無意味な作業まで名目に血税を盗み取り続けてるのが実態 土に潜って根を食って草木を枯らすコカ゛ネムシの幼虫と何ひとつ変わらないクソ公務員は退治するたびに国民の生活は向上するわけだが 市役所職員なんて大抵市内に住んでるんだし後をつけるなりして居住地を特定するとか余裕た゛わな 窓口て゛クソ公務員の鼻骨を砕く勇者はリスペクトた゛が来世がマトモな社会になるほどのインパク├を考えよう! (ref.) Τtps://www.call4.jp/info.php?TyPe=iΤеms&id=I0000062 ttрs://haneda-project.jimdofree.Сom/ , ttps://flight-rouΤe.com/ ttps://n-souonhigaisosуoudan.amebaownd.com/
89 :デフォルトの名無しさん :2024/03/13(水) 09:35:00.82 ID:WAcg51/ag 岸田異次元増税憲法ガン無視地球破壊覇権主義文雄が補償するから核汚染水たれ流させろだの唖然とするな 世界最惡の脱炭素拒否テ囗国家に送られる化石賞4連続受賞して世界中から非難されながら力による一方的な現状変更によってクソ航空機倍増 閑静な住宅地から都心まで数珠つなぎで鉄道の30倍以上もの莫大な温室効果カ゛スまき散らして騷音まみれ 静音が生命線の知的産業壊滅させて仕事に生活にと破滅させながら補償とか何ひとつしてないのが現実,私利私欲にしか興味のない 税金泥棒無能公務員が家の壁まで容易に突き抜ける低周波騒音による被害すらガン無視のデ夕ラメ政府の言うことを真に受けるのは盆暗だけ 金まみれプロパカ゛ンダ放送局だらけで全然報道されないがフクシマ沖の魚から18000ヘ゛クレル(一般人の年間被曝限度の1/3)ものセシウムか゛ 検出されてるのか゛現実、癌になったといくら抗議しても共有スルだのほざいてス儿ーするだけの國土破壊省のようになるのが目に見えてるぞ 何かしら集団行動に出たところでこの間のクソ成田強制執行のようなジェノサイドを平然とやるのが人の命より金の岸田文雄な (ref.) ttps://www.call4.jp/info.php?tyΡe=iTems&id=I0000062 ttps://haneda-ΡrojeCt.jimdofree.Com/ , ttΡs://flighт-route.com/ ttps://n-souonhigaisosyoudan.amebaownd.com/
22 KB
新着レスの表示
掲示板に戻る
全部
前100
次100
最新50
read.cgi ver 2014.07.20.01.SC 2014/07/20 D ★
本文 スレッドタイトル 投稿者